Vlsi Design Using VHDL
Vlsi Design Using VHDL
Vlsi Design Using VHDL
Introduction of VLSI
What is VHDL
Why VHDL
VHDL within VLSI design Cycle
Combinational & sequential circuits
Design Hierarchy and syntax
About Xilinx ISE
Examples
2
What is VLSI..?
3
What is VHDL?
4
Why VHDL?
5
VHDL Within VLSI Design
Cycle
6
Continued….
A structure level description defines the circuit in terms of a
collection of components
VHDL supports behavioral, RTL and structural descriptions,
thus supporting various levels of abstraction
Most VHDL users prefer RTL descriptions and use VHDL as
input to the synthesis process
Synthesis tools then optimize and compile the design as per
specified constraints and map to target devices as per libraries.
Gate level simulation is conducted to verify the design; using
the same test vectors that were generated for RTL simulation
Finally the place and route tools are used for layout generation
and timing closure
7
Combinational Logic
Several combinational logic units are available in VHDL for
use in the designs
A pure combinational logic circuit’s output depends on its
present input only
A combinational circuit cannot store or buffer any values for
subsequent clock cycles. Everything must be accomplished
within the same clock cycle.
Examples :- Multiplexer, De multiplexer, Adder etc.
8
Sequential Logic
Several sequential logic units are available in VHDL for use in
the design.
Sequential circuits use current input variables and previous
input variables by storing the information and putting back into
the circuit on the next clock (activation) cycle.
Examples :- Flip flops, Latch, Counter etc.
9
Design Hierarchy
10
VHDL Syntax
11
Continued…
12
Standard Libraries
Include library ieee before entity declaration.
ieee.std_logic_1164 defines a standard for designers to use in describing
interconnection data types used in VHDL modeling.
ieee.std_logic_arith provides a set of arithmetic, conversion, comparison
functions for signed, unsigned, std_ulogic, std_logic, std_logic_vector.
ieee.std_logic_unsigned provides a set of unsigned arithmetic, conversion, and
comparison functions for std_logic_vector.
Xilinx ISE Software
14
Example:- VHDL code for
Half adder(Combinational Circuit)
15
VHDL Code
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity half_adder is
Port ( a : in STD_LOGIC; b : in STD_LOGIC;
sum : out STD_LOGIC; carry : out STD_LOGIC);
end half_adder;
architecture Behavioral of half_adder is begin
sum <= a xor b; carry <= a and b;
end Behavioral;
16
RTL & simulation Design
17
Example:-VHDL code for D
Flip-Flop(sequential circuit)
18
RTL and Simulation Design
19
20