Synthesis Flow Overview (VLSI) - Introduction - by ANKIT MAHAJAN - Medium

Download as pdf or txt
Download as pdf or txt
You are on page 1of 2

ANKIT MAHAJAN

Nov 5, 2020 · 2 min read · Listen

Synthesis Flow Overview (VLSI)

Introduction:

Synthesis is a process of converting RTL (synthesizable Verilog code) into a


technology specific Gate level netlist which includes nets, sequential cells,
combinational cells and their connectivity. In other words, It is a process of
combining pre-existing elements to form something new. It is the conversion of
an idea into an implementation.

Logic Synthesis is combining primitive logic functions to form a design netlist


that meets functional and design goals.

Synthesis Inputs: Get started Sign In

RTL (.v), Constraints (.sdc), Timing Libraries (.lib) Search

Steps:

Logic Synthesis = Translation + Mapping + Optimization


ANKIT MAHAJAN
22 Followers
Translation: This is the first step of the logical synthesis. In this step, RTL is
Physical Design Engineer at
converted to a general (G-Tech) library netlist. During this step, more Qualcomm| Ex-HCL | STA |
emphasis is on whether the intended logic is maintained or it gets changed. Floorplanning | APR | DRC | LEC |
LVS | Low Power | Youtuber |
Blogger |
Operations performed in this step:
Follow

1. Conversion of HDL into functional Boolean equivalent.

2. HDL syntax checking More from Medium

3. Optimizes HDL wordsandfooty

Player Safety on
4. Arithmetic, Sequential and Combinational function mapping the Field: The
Next Frontier in…

Mapping: In this step, tool will map the (G-Tech) generic Boolean netlist into
Kanpai Pandas
the gates available in the standard cell library. Boolean functions are mapped
Pandamonium — 
to technology specific primitive functions. Tyga, Rich The
Kid, Las Vegas…
Optimization: In this step, tool modifies the mapping to meet the design
goals in the following priority order by default. David Aleman

The Bearded
Poet88

Priority order: Design rules > Timing > Area > Power
ThinkOrSwim R…

📈Die besten
Skripte für die
Thinkorswim-…
Optimization step is constraint-driven. User needs to provide good constraints
for effective optimization results. Optimal design is found as a result of synthesis
based on the priorities set by the designer. The tool choose the combination of
library cells that best meet the functional, timing, area and power requirements
of the design.
Constraints:

The design goal (timing, area, power) is defined by the constraints.


Constraints are set of rules that set limits on circuit parameters according to
parameter priorities and requirements.

During synthesis process, every time there is a choice between several circuit
variants, the one meeting constraints is chosen.

Synthesis Outputs:

Netlist (.v), Constraints (.sdc)

This article mainly focused on the basics of Synthesis flow. It is intended for the
college students and graduates who are new to ASIC design. Detailed flow will
be shared in upcoming posts. Share your feedback to improve.

Author: Ankit Mahajan, Physical Design Engineer at HCL Technologies Pvt. Ltd.

Get an email whenever ANKIT MAHAJAN publishes.


Subscribe
Your email

By signing up, you will create a Medium account if you don’t already have one. Review our Privacy Policy for more information about our privacy
practices.

Help Status Writers Blog Careers


Privacy Terms About Knowable

You might also like