Smart Physical Aware ECO
Smart Physical Aware ECO
Smart Physical Aware ECO
http://doi.org/10.22214/ijraset.2019.9041
International Journal for Research in Applied Science & Engineering Technology (IJRASET)
ISSN: 2321-9653; IC Value: 45.98; SJ Impact Factor: 7.177
Volume 7 Issue IX, Sep 2019- Available at www.ijraset.com
Abstract: In the standard Physical Design flow, the implementation tool is used for P&R (Place n Route). The implementation
tool has in-built extraction and timing engines to report the timing based on CCS timing and noise libraries. These in-built
engines are not very accurate. To overcome these shortcomings, the routed database is taken through extraction tool, to created
spefs, and then to timing tool, to run timing analysis across multiple corners. The timing tool generates ECOs (Engineering
Change Order) which is implemented to fix the timing. The changes are made on cell-by-cell basis to do what-if analysis and the
result is implemented in implementation tool to reflect the changes.
The designer can use implementation tool and timing tool from different vendors. The resulting timing correlation may be poor
between the 2 tools and the correlation may not be proper even between tools from the same vendor. The timing tool not having
the adequate physical information to implement the changes cannot accurately predict timing changes introduced by changes in
cells.
The purpose of this paper is to create an automated flow to do physical-aware timing ECO with the timing tool. The flow can be
used at an initial stage to implement the timing and DRC across multiple scenarios by providing PnR information to the timing
tool. The early estimation with the help of timing tool can help us to reduce to turnaround time
Keywords: ECO, Physical Aware, Primetime, Innovus, MMMC
I. INTRODUCTION
With the technological advances in the VLSI industry, to meet the demands for higher performance and richer SoC features, design
complexity also increased with time. To develop chips with higher device density and faster speeds the industry moved into the Deep
Sub- Micron (DSM) technology domain to meet the demands and follow the Moore’s Law as strictly as possible. The newer
technologies brought along more complexities and challenges with them. With the number of gates in a single chip touching as high
as 1 billion, the designers face a challenge to meet the foundry rules (Physical DRCs) while also enabling the devices to meet the
higher frequencies.
The designers implement the design on a software (also called tools). The design is taken forward on other tools to check the integrity
on the design. Different physical and timing checks are implemented to check the integrity and fix any issues found. This is normally
a lengthy flow.
The adoption of a predictable ECO flow that eliminates violations in all signoff scenarios without inadvertently introducing new ones
helps reduce the number of timing iterations required for final signoff. Static timing analysis tools provide predictable, signoff-
accurate guidance to implementation tools with the following capabilities:
A. Fix design rule constraint (DRC), setup, and hold violations without creating new violations (therefore preventing a “ping pong”
effect).
B. Perform pessimism reduction techniques such as advanced on-chip variation (AOCV), parametric on-chip variation (POCV), and
path-based analysis (PBA) across all scenarios.
C. Consider physical design information to achieve best quality of results (QoR) and reduce major perturbations for designs already
placed and routed.
Today’s ECO guidance solutions must also be scalable to rapidly turnaround large complex designs, so design teams can quickly
identify and repair numerous violations.
While physically-aware ECO can take advantage of fixing opportunities on net routes, recognizing the voltage domain is critical for
successful timing closure in advanced designs with complex voltage areas. In these designs, while driver and load pins can reside in
the same voltage domain, the net route can travel through different voltage domains.
Fig. 7, shows an example where PrimeTime recognizes voltage areas in multi voltage designs and avoids ECO fixes that could
introduce electrical rule violations.
C. Recover Power and Area With Accurate Signoff Timing Analysis
Power consumption is a key factor of design quality, especially for energy-efficient designs that run on battery power. In the
implementation flow, a designer can apply power and area recovery technologies throughout the flow from logic synthesis to post-
route optimization. On timing paths with positive timing slack, power and area recovery technologies replace existing cells with lower
power or smaller cells. Swapping existing cells with higher threshold voltage (Vth) cells induces no change to placement or routing
while often reducing leakage power by orders of magnitude. In addition, downsizing cells not only reduces dynamic and leakage
power, it also frees up valuable space for other ECO opportunities, especially in highly utilized regions.
At the timing closure stage, PrimeTime uses various pessimism reduction technologies, including path-based analysis (PBA),
waveform propagation analysis, and advanced and parametric on-chip variation technologies, to uncover additional recovery
opportunities. Validating the ECO guidance using the signoff timing engine in all scenarios before submission is critical to ensure
successful design closure and eliminate additional ECO iteration while achieving the best possible design quality.
D. ECO Implementation with Minimum Physical Impact
Routing changes during ECO implementation can introduce unexpected impact to signoff timing due to the change in wire load or
crosstalk effects.
V. RESULTS
A. Design Overview
Frequency 1GHz
No. of macros 68
No. of Standard cells 1.2M
Utilisation 0.65
No. of PVT Corners 24
No. of Modes test and func
Power domains 1
VI. CONCLUSION
The Physical aware Timing ECO flow has advantages over the conventional ECO flow as it reduces the convergence time. The major
challenges are an integration of ECO script for the timing and implementation tool.
Future work can include merging the timing tool into the implementation tool to get an accurate estimation in the implementation
stage and optimize accordingly.
VII. ACKNOWLEDGMENT
First and foremost, I would like to express my deepest gratitude to Mr. Ankur Shukla, Sr. Engineer, Qualcomm for his invaluable
support, guidance, motivation and encouragement throughout the period of this work.
REFERENCES
[1] Innovus User Guide.
[2] R. C. J. Bhaskar, Static Timing Analysis for Nanometer Designs A Practical Approach,
[3] PrimeTime User Guide.
[4] Chuang, James, Signoff-Driven Timing Closure ECO.
[5] PrimeTime Automated Physically-Aware ECO Flow With IC Compiler Application Note.
[6] Signoff-Driven Timing Closure ECO in the Synopsys Galaxy Platform.
[7] Cadence Paper - Enabling RTL to GDSII ECO Flows
[8] https://www.synopsys.com/content/dam/synopsys/implementation&signoff/white-papers/physical-aware-wp.pdf