XG Mode: User Guide
XG Mode: User Guide
XG Mode: User Guide
User Guide
Version X-2005.09, September 2005
Comments?
Send comments on the documentation by going
to http://solvnet.synopsys.com, then clicking
“Enter a Call to the Support Center.”
Copyright Notice and Proprietary Information
Copyright © 2005 Synopsys, Inc. All rights reserved. This software and documentation contain confidential and proprietary
information that is the property of Synopsys, Inc. The software and documentation are furnished under a license agreement and
may be used or copied only in accordance with the terms of the license agreement. No part of the software and documentation may
be reproduced, transmitted, or translated, in any form or by any means, electronic, mechanical, manual, optical, or otherwise,
without prior written permission of Synopsys, Inc., or as expressly provided by the license agreement.
Right to Copy Documentation
The license agreement with Synopsys permits licensee to make copies of the documentation for its internal use only.
Each copy shall include all copyrights, trademarks, service marks, and proprietary rights notices, if any. Licensee must
assign sequential numbers to all copies. These copies shall contain the following legend on the cover page:
“This document is duplicated with the permission of Synopsys, Inc., for the exclusive use of
__________________________________________ and its employees. This is copy number __________.”
Destination Control Statement
All technical data contained in this publication is subject to the export control laws of the United States of America.
Disclosure to nationals of other countries contrary to United States law is prohibited. It is the reader’s responsibility to
determine the applicable regulations and to comply with them.
Disclaimer
SYNOPSYS, INC., AND ITS LICENSORS MAKE NO WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, WITH
REGARD TO THIS MATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE.
Registered Trademarks (®)
Synopsys, AMPS, Arcadia, C Level Design, C2HDL, C2V, C2VHDL, Cadabra, Calaveras Algorithm, CATS, CRITIC,
CSim, Design Compiler, DesignPower, DesignWare, EPIC, Formality, HSIM, HSPICE, Hypermodel, iN-Phase, in-Sync,
Leda, MAST, Meta, Meta-Software, ModelTools, NanoSim, OpenVera, PathMill, Photolynx, Physical Compiler, PowerMill,
PrimeTime, RailMill, RapidScript, Saber, SiVL, SNUG, SolvNet, Superlog, System Compiler, Testify, TetraMAX, TimeMill,
TMA, VCS, Vera, and Virtual Stepper are registered trademarks of Synopsys, Inc.
Trademarks (™)
Active Parasitics, AFGen, Apollo, Apollo II, Apollo-DPII, Apollo-GA, ApolloGAII, Astro, Astro-Rail, Astro-Xtalk, Aurora,
AvanTestchip, AvanWaves, BCView, Behavioral Compiler, BOA, BRT, Cedar, ChipPlanner, Circuit Analysis, Columbia,
Columbia-CE, Comet 3D, Cosmos, CosmosEnterprise, CosmosLE, CosmosScope, CosmosSE, Cyclelink, Davinci, DC
Expert, DC Expert Plus, DC Professional, DC Ultra, DC Ultra Plus, Design Advisor, Design Analyzer, Design Vision,
DesignerHDL, DesignTime, DFM-Workbench, Direct RTL, Direct Silicon Access, Discovery, DW8051, DWPCI, Dynamic
Model Switcher, Dynamic-Macromodeling, ECL Compiler, ECO Compiler, EDAnavigator, Encore, Encore PQ, Evaccess,
ExpressModel, Floorplan Manager, Formal Model Checker, FoundryModel, FPGA Compiler II, FPGA Express, Frame
Compiler, Galaxy, Gatran, HANEX, HDL Advisor, HDL Compiler, Hercules, Hercules-Explorer, Hercules-II, Hierarchical
plus
Optimization Technology, High Performance Option, HotPlace, HSIM , HSPICE-Link, i-Virtual Stepper, iN-Tandem,
Integrator, Interactive Waveform Viewer, Jupiter, Jupiter-DP, JupiterXT, JupiterXT-ASIC, JVXtreme, Liberty,
Libra-Passport, Libra-Visa, Library Compiler, Magellan, Mars, Mars-Rail, Mars-Xtalk, Medici, Metacapture, Metacircuit,
Metamanager, Metamixsim, Milkyway, ModelSource, Module Compiler, MS-3200, MS-3400, Nova Product Family,
Nova-ExploreRTL, Nova-Trans, Nova-VeriLint, Nova-VHDLlint, Optimum Silicon, Orion_ec, Parasitic View, Passport,
Planet, Planet-PL, Planet-RTL, Polaris, Polaris-CBS, Polaris-MT, Power Compiler, PowerCODE, PowerGate, ProFPGA,
ProGen, Prospector, Protocol Compiler, PSMGen, Raphael, Raphael-NES, RoadRunner, RTL Analyzer, Saturn,
ScanBand, Schematic Compiler, Scirocco, Scirocco-i, Shadow Debugger, Silicon Blueprint, Silicon Early Access,
SinglePass-SoC, Smart Extraction, SmartLicense, SmartModel Library, Softwire, Source-Level Design, Star, Star-DC,
Star-MS, Star-MTB, Star-Power, Star-Rail, Star-RC, Star-RCXT, Star-Sim, Star-SimXT, Star-Time, Star-XP, SWIFT,
Taurus, TimeSlice, TimeTracker, Timing Annotator, TopoPlace, TopoRoute, Trace-On-Demand, True-Hspice,
TSUPREM-4, TymeWare, VCS Express, VCSi, Venus, Verification Portal, VFormal, VHDL Compiler, VHDL System
Simulator, VirSim, and VMC are trademarks of Synopsys, Inc.
SystemC is a trademark of the Open SystemC Initiative and is used under license.
ARM and AMBA are registered trademarks of ARM Limited.
All other product or company names may be trademarks of their respective owners.
ii
Contents
1. Introduction to XG Mode
Products That Support XG Mode . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3
Supported Platforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4
Licensing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4
Command Languages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4
Libraries. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-6
Logical Libraries. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-6
Physical Libraries. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-7
Setup Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-7
Supported Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-8
Differences in Behavior . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-9
read_* Command. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-9
iii
current_design Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-11
Runtime Differences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-11
Collection Preservation Differences . . . . . . . . . . . . . . . . . . . 1-13
get_object_name Command . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-14
set_attribute Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-15
filter_collection Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-16
remove_annotated_delay Command . . . . . . . . . . . . . . . . . . . . . 1-17
Reference Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-17
Collections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-19
SDC Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-20
Timing Path Attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-20
Invoking a Synthesis Tool in XG Mode . . . . . . . . . . . . . . . . . . . . . . 1-23
Determining the Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-24
iv
Limitations When Reading Milkyway Format . . . . . . . . . . . . . . . 2-17
Maintaining the Milkyway Design Library. . . . . . . . . . . . . . . . . . 2-18
Invoking the Milkyway Environment Tool . . . . . . . . . . . . . . . 2-19
Opening a Milkyway Design Library . . . . . . . . . . . . . . . . . . . 2-19
Listing the Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20
Purging Versions from the CEL View . . . . . . . . . . . . . . . . . . 2-21
Deleting a Cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-22
Converting From .db Format to Milkyway Format . . . . . . . . . . . 2-23
GUI Support. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-23
Using the .db Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24
Writing .db Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24
Limitations When Writing .db Format . . . . . . . . . . . . . . . . . . 2-25
Limitations When Reading .db Format. . . . . . . . . . . . . . . . . . . . 2-25
Interfacing Between Synopsys Tools. . . . . . . . . . . . . . . . . . . . . . . . 2-26
Exporting Design Data to Jupiter or Astro . . . . . . . . . . . . . . . . . 2-26
Verifying Designs Compiled in XG Mode . . . . . . . . . . . . . . . . . . 2-27
v
Overview of Scan Synthesis Command Changes. . . . . . . . . . . . . . 4-4
Using the db2xg Converter Script . . . . . . . . . . . . . . . . . . . . . . . . . . 4-11
Known Limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-12
Converting to the Unified Test DRC Flow . . . . . . . . . . . . . . . . . . . . 4-15
About the set_dft_signal Command . . . . . . . . . . . . . . . . . . . . . . . . 4-16
Performing Scan Synthesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-18
Performing RTL Test Design Rule Checking . . . . . . . . . . . . . . . 4-19
Performing 1-Pass Scan Synthesis . . . . . . . . . . . . . . . . . . . . . . 4-20
Performing Pre-Scan Test Design Rule Checking . . . . . . . . . . . 4-20
Performing Scan Insertion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21
Analyzing the Post-Scan Design . . . . . . . . . . . . . . . . . . . . . . . . 4-23
Complete Scan Insertion Example . . . . . . . . . . . . . . . . . . . . . . 4-24
Performing Scan Extraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-26
Using Rapid Scan Synthesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-28
Using Hierarchical Scan Synthesis . . . . . . . . . . . . . . . . . . . . . . . . . 4-28
Using AutoFix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-30
Reporting. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-33
Using BSD Compiler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-37
Boundary-Scan Design Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-37
Boundary-Scan Verification Flow . . . . . . . . . . . . . . . . . . . . . . . . 4-39
Unsupported Capabilities. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-41
Using DFT Compiler DBIST Synthesis . . . . . . . . . . . . . . . . . . . . . . 4-42
GUI Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-45
vi
5. Using Physical Compiler in XG Mode
Physical Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-2
Generating a Milkyway Reference Library from LEF . . . . . . . . . 5-2
Generating a Milkyway Reference Library from .pdb . . . . . . . . . 5-4
Generating a Milkyway Technology File. . . . . . . . . . . . . . . . . . . 5-5
Using .pdb Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-6
Supported Physical Design Flows . . . . . . . . . . . . . . . . . . . . . . . . . . 5-7
Synopsys Tool Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-7
Third-Party Tool Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-8
Differences in Behavior . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-10
Checkpointing the Optimization Results . . . . . . . . . . . . . . . . . . 5-10
Using Interface Logic Models. . . . . . . . . . . . . . . . . . . . . . . . . . . 5-12
Using Distributed Physical Synthesis. . . . . . . . . . . . . . . . . . . . . 5-13
GUI Enhancements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-13
Features Available Only in XG Mode. . . . . . . . . . . . . . . . . . . . . . . . 5-14
Milkyway-based DEF and PDEF Support . . . . . . . . . . . . . . . . . 5-14
Relative Placement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-16
Unsupported Capabilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-16
vii
Hierarchical Clock Gating . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-5
Resetting of Clock-Gating Attributes . . . . . . . . . . . . . . . . . . . . . 6-6
Power Analysis Enhancements . . . . . . . . . . . . . . . . . . . . . . . . . 6-6
Stitching of Power-Gating Signals . . . . . . . . . . . . . . . . . . . . . . . 6-7
Index
viii
Preface FIX ME!
ix
What’s New in This Release
This section describes the new features, enhancements, and
changes made to XG mode in version X-2005.09. These features
and enhancements are available only in XG mode. In general,
features added to DB mode in version X-2005.09 are also available
in XG mode, but they are not documented in this guide.
New Features
In version X-2005.09, the following new features have been added to
support XG mode:
Preface
x
Enhancements
In version X-2005.09, XG mode includes the following
enhancements:
Changes
In version X-2005.09, the following changes have been made to XG
mode:
Preface
xii
Known Limitations and Resolved STARs
Information about known problems and limitations, as well as about
resolved Synopsys Technical Action Requests (STARs), is available
in the product release notes in SolvNet.
This application note does not discuss how to run the synthesis
tools. For this type of information, see the product documentation.
Audience
This application note is for engineers who plan to run the Synopsys
synthesis tools in XG mode.
Related Publications
For additional information about the Synopsys synthesis tools, see
Preface
xiv
Conventions
The following conventions are used in Synopsys documentation.
Convention Description
Accessing SolvNet
SolvNet includes an electronic knowledge base of technical articles
and answers to frequently asked questions about Synopsys tools.
SolvNet also gives you access to a wide range of Synopsys online
services including software downloads, documentation on the Web,
and “Enter a Call to the Support Center.”
To access SolvNet,
Preface
xvi
Contacting the Synopsys Technical Support Center
If you have problems, questions, or suggestions, you can contact the
Synopsys Technical Support Center in the following ways:
• Open a call to your local support center from the Web by going to
http://solvnet.synopsys.com (Synopsys user name and
password required), then clicking “Enter a Call to the Support
Center.”
• Send an e-mail message to your local support center.
- E-mail [email protected] from within North
America.
- Find other local support center e-mail addresses at
http://www.synopsys.com/support/support_ctr.
• Telephone your local support center.
- Call (800) 245-8005 from within the continental United States.
- Call (650) 584-4200 from Canada.
- Find other local support center telephone numbers at
http://www.synopsys.com/support/support_ctr.
Customer Support
xvii
Preface
xviii
1
Introduction to XG Mode 1
This chapter provides an overview of the XG mode used by the
Synopsys synthesis tools. The information in this chapter applies to
all tools that support XG mode. Later chapters discuss aspects of
XG mode that apply to specific products.
• XG mode (default)
This mode uses optimized memory management techniques that
increase a tool’s capacity and can reduce runtime.
• DB mode
This was the default mode for version W-2004.12 and earlier
versions.
1-1
This book describes differences in behavior between XG mode and
DB mode, as well as features that are available only in XG mode. For
detailed information about tool usage, see the product
documentation.
Licensing Requirements
XG mode does not require any special licensing.
Command Languages
In XG mode, all synthesis tools use the tool command language
(Tcl). XG mode does not support the dcsh command language. If
your existing scripts are written in dcsh, you must convert them to Tcl
before running dc_shell in XG mode.
as
find(net, "x\\?")
as
To fix this problem, wrap the string value with the Tcl list
command:
as
Command Languages
1-5
• If your script contains a very complex statement,
dc-transcript might not be able to translate it.
For example, dc-transcript cannot translate a statement
such as
Libraries
This section describes the logical and physical libraries used in XG
mode.
Logical Libraries
XG mode uses the same logical libraries (the library .db files) as DB
mode. No changes are required to the logical libraries. To specify the
logical libraries, set the link_library and target_library
variables, just as you do in DB mode.
If you do not have a Milkyway reference library, you can generate one
from your LEF library files or your .pdb library files. Use the Milkyway
read_lef command to convert your LEF files or the Milkyway
read_plib command to convert your .pdb files. For more
information, see “Physical Libraries” on page 5-2.
To revert to using .pdb library files for the physical libraries, set the
use_pdb_lib_format variable to true. If you use .pdb format
physical libraries, you will not have access to the new
Milkyway-based DEF and PDEF support.
Setup Variables
Before running a synthesis tool in XG mode, you must define the
paths for the libraries and designs that you are using, just as you
would in DB mode. Table 1-1 provides a minimum set of setup
variables for XG mode. You can set these variables in the setup file
(.synopsys_dc.setup), in a script, or interactively.
Setup Variables
1-7
Table 1-1 XG Mode Setup Variables (Continued)
Variable Description
Supported Commands
The XG mode supports most, but not all, commands in dc_shell and
psyn_shell. If you enter a command that is not supported in XG
mode, an error message is generated.
For a complete list of commands and options that are not supported
in XG mode, see Appendix A, “Command Differences.”
• read_* Command
• current_design Command
• get_object_name Command
• set_attribute Command
• filter_collection Command
• remove_annotated_delay Command
• Reference Objects
• Collections
• SDC Support
• Timing Path Attributes
read_* Command
In XG mode, when you load a design into memory, the tool also loads
all libraries specified in the link_library variable, regardless of
whether they are needed to link the design.
Differences in Behavior
1-9
In DB mode, the libraries are read in during the link process. When
all references are resolved, the link process ends and additional
libraries, if any, are not read in.
Because the tool reads the libraries while loading the design, rather
than during the link process, the memory usage and runtime
required for loading the design might increase. However, there is a
benefit to this: Unlike in DB mode, where peak memory usage
occurs during optimization, in XG mode, peak memory usage occurs
while the design is loading. Therefore you know immediately whether
your design can be processed with the available memory.
• In runtime
• In collection preservation
The following sections describe these differences.
Runtime Differences
The runtime for the current_design command in XG mode is
longer than in DB mode. Because of this difference in runtime, you
should avoid writing scripts that use a large number of
current_design commands, such as in a loop.
Differences in Behavior
1-11
As another example, to ungroup instances within a hierarchy (such
as a DesignWare instance), use the following command to set the
ungroup attribute on the instance instead of changing the current
design and ungrouping the subdesign. When you compile the
design, the instances with the ungroup attribute are automatically
ungrouped.
• set_size_only command
In addition to accepting instance objects, the -all_instances
option allows you to set the size_only attribute on a leaf cell
when its parent design is instantiated multiple times.
• change_link command
In addition to accepting instance objects, the -all_instances
option allows you to make link changes for a leaf cell when its
parent design is instantiated multiple times.
• Clusters
• Scan paths
• Timing paths
For example, the following command sequence works in DB mode
but not in XG mode:
Differences in Behavior
1-13
current_design top
set top_cells [get_cells -hier]
current_design mid
set sum_cells [add_to_collection [get_cells] $top_cells
get_object_name Command
In XG mode, the get_object_name command returns the
complete path to an object; in DB mode the get_object_name
command returns only the object name.
The following examples show the different results when you run the
get_object_name command.
DB Mode Example
dc_shell-t> get_object_name [get_lib_pins class/NR4P/C]
C
dc_shell-xg-t> get_attribute \
[get_object_name [get_lib_pins class/NR4P/C]]] \
pin_direction
In addition, in XG mode you can specify multiple objects as the
argument to get_object_name. In DB mode, you must specify a
single object as the argument.
set_attribute Command
In XG mode, the set_attribute command enforces the
predefined attribute type and generates an error if you try to set an
attribute with a value of an incorrect type. In DB mode, the
set_attribute command does not perform type checking.
Note:
To determine the predefined type for an attribute, use the
list_attributes -application command. This command
generates a list of all application attributes and their types.
Differences in Behavior
1-15
In DB mode the tool simply accepts the command.
filter_collection Command
In XG mode, the filter_collection command verifies that the
attribute specified in the filter expression is valid for the collection’s
object type and generates an error if you try to filter on an invalid
attribute. In DB mode, the filter_collection command does
not perform attribute checking.
Note:
To determine the valid attributes for an object type, use the
list_attributes -application -class object_type
command. This command generates a list of all application
attributes that apply to one of the following object types: design,
port, cell, clock, pin, net, or lib.
For example, suppose you set the annotated delay by using the
following command:
In XG mode, you could also remove the annotated delay by using the
following more general command:
Reference Objects
Reference objects are not supported in XG mode. However, in XG
mode the get_references command provides similar
functionality to using reference objects in DB mode.
Differences in Behavior
1-17
reference, and you operate on the instances. Although the objects in
the collection differ, the effect of operating on the collection is the
same.
• Invalidation of collections
Whenever the objects in a collection become invalid or are no
longer in the current scope, the collections that refer to those
objects become invalid.
• Query results
In DB mode, querying the contents of a collection produces a
comma-separated list of design objects (for example, {a, b, c,
d...}). This is not valid syntax for a Tcl list, so the result cannot be
used directly.
Differences in Behavior
1-19
In XG mode, the query results are formatted as a Tcl list (for
example, {a b c d ...}), so that you can directly use the results.
SDC Support
In XG mode, Design Compiler supports version 1.5 of the Synopsys
Design Constraints (SDC) format. In DB mode, Design Compiler
supports SDC version 1.4. For details about the SDC versions, see
the Using the Synopsys Design Constraints Format Application
Note.
In XG mode, you can also get detailed information about the clock
paths associated with the specified data path and information about
the clock reconvergence pessimism removal (CRPR). To enable
access to this information, you must specify the -path_type
full_clock_expanded option when you run the
get_timing_paths command. (The default, -path_type full,
enables access only to the data path information.)
arrival string
clock_uncertainty float
endpoint string
endpoint_clock_close_edge_type string
endpoint_clock_latency float
endpoint_clock_open_edge_type string
endpoint_recovery_time_value float
endpoint_setup_time_value float
full_name string
Differences in Behavior
1-21
Table 1-2 Attributes Supported on Clock Paths (Continued)
Attribute Type Notes
path_group string The path group for the clock path is the
same as the path group for the
corresponding data path.
path_type string
startpoint string
startpoint_clock string
startpoint_clock_latency float
startpoint_clock_open_edge_typ string
e
startpoint_input_delay_value float
arrival string
object string
rise_fall string
• dc_shell
• Design Vision
• fpga_shell
• psyn_shell
• Physical Compiler Graphical User Interface (GUI)
Table 1-4 shows the command used to invoke each interface in XG
mode and the prompt used in XG mode.
2-1
Table 2-1 Supported Database Formats by Tool
Tool .db .ddc DB Milkyway XG Milkyway
dc_shell - DB mode
(Design Compiler, X X X X
DFT Compiler,
Power Compiler)
dc_shell - XG mode
(Design Compiler, X1 X1 X X X X2 X3
DFT Compiler,
Power Compiler)
psyn_shell - DB mode
(Physical Compiler, X X X X
DFT Compiler,
Power Compiler)
psyn_shell - XG mode
(Physical Compiler, X1 X X4 X5 X X3
DFT Compiler,
Power Compiler)
Formality X X X X X
PrimeTime X X X X
PrimePower X X X X
Jupiter X X X X6
Astro X X X X6
1. Not recommended. Use .ddc or Milkyway format instead for maximum efficiency.
2. Not recommended if your design contains physical information.
3. Requires a mapped design that does not contain multiple instances.
4. Saves logical information only.
5. Design constraints are not read. You must use the SDC file to reapply the constraints.
6. Existing design constraints are maintained but not updated.
Note:
To maximize the capacity and performance improvements, use
the .ddc format rather than the .db format when using XG mode.
The following sections contain information about how to use the .ddc
format:
If you do not use the -output option to specify the output file name,
the write -format ddc command creates a file called
top_design.ddc, where top_design is the name of the current design.
• The .ddc format saves only the logical design information. The
.ddc format does not save physical information, such as physical
constraints, floorplan data, or cell locations.
• If you load your design from a Milkyway database and then save
the design in .ddc format, the .ddc file contains only the gate-level
netlist description. You cannot perform RTL synthesis on this .ddc
file.
Note:
Like the .db format, the .ddc format is backward compatible (you
can read a .ddc file that was generated with an earlier software
version), but not forward compatible (you cannot read a .ddc file
that was generated with a later software version).
GUI Support
In XG mode, both Design Vision and the Physical Compiler GUI
support the .ddc format.
To read or write .ddc files, select either Auto (if your file has the .ddc
extension) or DDC from the Format list in the appropriate dialog box.
Root
directory
Directory
File
If you read a Milkyway design library that was created in the other
mode, the design information is read, but the constraint information
is not. You must reapply the constraints by reading the Synopsys
Design Constraints (SDC) file.
Note:
Jupiter and Astro can read the constraint information from the
Milkyway design library regardless of which mode it was
generated in.
4. Set up the Milkyway design library and update the search path by
running the set_mw_design command.
5. Run the read_milkyway command to read the design.
psyn_shell-xg-t> read_milkyway file_name
Note:
The read_mdb command is not supported in XG mode. For
information about reading Milkyway design libraries created by
write_mdb, see “Limitations When Reading Milkyway
Format” on page 2-17.
Example 2-2 shows a sample command sequence for reading a
design in Milkyway format.
When you end your session, the lock file is automatically deleted.
However, if your session terminates abnormally or you end the
session by using Control-c, the lock file is not deleted and you must
manually remove it. For example,
% rm design_dir/CEL/my_design*.lock
set mw_site_name_mapping \
[list old_site_name new_site_name]
Note:
This limitation also applies to a Milkyway design file that was
updated in Jupiter or Astro, even if the design library was initially
created in XG mode.
To ensure that all design constraints are loaded into memory, use
one of the following methods to read a Milkyway design file that was
not created in XG mode.
% Milkyway -galaxy
2. Enter the design library name (Library Name) and path (Library
Path).
Alternatively, you can click Browse to use the browse capability
to select the design library. When you use the browse capability,
Milkyway determines both the design library name and its path
from your selection.
• Choose Library > Show Cells from the Milkyway menu bar.
2. Specify the name of the cell you want to purge (Cell Name).
Alternatively, you can click Browse to use the browse capability
to select the cell.
Deleting a Cell
To delete a cell from the current Milkyway design library,
GUI Support
In XG mode, the Physical Compiler GUI supports the Milkyway
format with menu options, but Design Vision does not.
For more information about the .db format, see the Design Compiler
documentation.
Note:
In the Physical Compiler GUI, the Design type list in the Write
Designs dialog box (File > Save As) lists DB as a valid option.
This option is not valid in XG mode and should not be used.
To ensure that all routing information is loaded into memory, use the
following process to read your .db design:
• Differences in Behavior
• Using Automated Chip Synthesis in XG Mode
• Unsupported Capabilities
3-1
Differences in Behavior
The following differences in Design Compiler behavior exist between
XG mode and DB mode:
Note:
This behavior difference does not impact the top-level compile.
The top-level constraints are always preserved.
Differences in Behavior
3-3
The following difference in Design Vision behavior exist between XG
mode and DB mode:
Note:
If you have a path schematic open when you change the
netlist, Design Vision closes the path schematic in both XG
mode and DB mode.
The default directories for the design files do not change when you
use .ddc format instead of .db format; however, Automated Chip
Synthesis provides unique file types for the .ddc files, so you can
change the directories if you want to. Table 3-1 shows the .ddc file
types. For more information about file types and customizing the
directory locations, see the Automated Chip Synthesis User Guide.
• Design verification
The compare_design, set_compare_design_script,
reset_compare_design_script, and
write_compare_design_script commands are not
supported in XG mode. In addition, XG mode does not support
the -verify, -verify_effort, and
-verify_hierarchically options with the compile or
translate commands.
To verify your design, use the Formality tool, rather than these
dc_shell commands.
• Pad mapping
The following commands are not supported in XG mode:
insert_pads, remove_pads, set_pad_type, and
set_port_is_pad.
• Benefits of XG Mode
• Features Available in XG Mode
• Overview of Scan Synthesis Command Changes
• Using the db2xg Converter Script
• Converting to the Unified Test DRC Flow
4-1
• Performing Scan Synthesis
• Performing Scan Extraction
• Using Rapid Scan Synthesis
• Using Hierarchical Scan Synthesis
• Using AutoFix
• Reporting
• Using BSD Compiler
• Using DFT Compiler DBIST Synthesis
• GUI Support
Benefits of XG Mode
XG mode provides the following benefits for DFT Compiler:
Note:
If you do not specify a view, DFT Compiler uses the
prescriptive view (-view spec).
• Addition of commands to report on all DFT specifications
The ability to report on the DFT specifications enables you to
verify the current specification at any point during exploration and
implementation.
dc_shell-xg-t> set_test_methodology
Error: Command 'set_test_methodology' is disabled.(CMD-080)
report_dft_configuration report_test
-dft_configuration
Scan Preview
Scan Insertion
AutoFix
Reporting
create_testsim_model
delete_test
fault_simulate
prepare_testsim_vectors
report_test -dont_fault
-mask_fault
-testsim_timing
-faults
-class
-coverage
-incremental
-atpg_conflicts
restore_test
set_min_fault_coverage
set_scan
set_test_dont_fault
set_test_keep_fault_data
set_test_mask_fault
set_test_methodology
set_test_require
set_test_routing_order
set_test_unmask_fault
set_testsim_output_strobe
You run the db2xg script from the system prompt. The syntax for
running this script is
Argument Description
-silent Specifies that the script should run silently. By default, the script
prints warning messages during execution.
Note:
The db2xg script is a Perl script. To run this script, you must be
able to run Perl from /usr/bin/perl.
• Your dctcl script must use the Unified Test DRC flow.
The db2xg script does not support the original DRC flow. For
information about converting your script from the original DRC
flow to the Unified Test DRC flow, see “Converting to the Unified
Test DRC Flow” on page 4-16.
• The script fully supports only the multiplexed flip-flop scan style.
If your design uses a scan style other than multiplexed flip-flop,
the db2xg script correctly translates commands such as
set_scan_style, but it might not correctly specify the test
clocks.
In this case, only the cell report, and not the chain report, would
be included in the log file.
This section briefly describes how to migrate to the Unified Test DRC
flow. For detailed information about test design rule checking using
the Unified Test DRC flow, see the following chapters in the DFT
Compiler User Guide Vol. 1: Scan (XG Mode):
Important:
The type values are case-sensitive.
Table 4-5 shows a sample script that performs scan insertion. Both
the XG mode and DB mode (Unified Test DRC flow) versions are
provided for comparison. In the XG mode script, the commands that
differ from DB mode are shown in bold.
1. Define the scan input and scan output for each scan chain.
Use the -view existing_dft option with the
set_scan_path and set_dft_signal commands to define
these relationships.
Note:
You can use this flow to perform scan reordering after physical
synthesis by running the preview_dft -physical and
insert_dft -physical commands after you run the
commands shown in the sample script.
Using AutoFix
The AutoFix capability can automatically fix scan rule violations
associated with uncontrollable clocks, uncontrollable asynchronous
set signals, or uncontrollable asynchronous reset signals.
Using AutoFix
4-31
Table 4-11 Options to Enable AutoFix Capabilities
To enable fixing of Use this option
Note:
Although the set_autofix_configuration and
set_autofix_element commands exist in DB mode, their
options and functionality differ in the two modes.
Both commands have the same set of options. Table 4-12
defines the options used to fix clocks, asynchronous set signals,
or asynchronous reset signals. For more information, see the
man pages and the DFT Compiler documentation.
-type clock | reset | set You must specify the AutoFix type that uses this
configuration. You can define different configurations for
each AutoFix type and set of elements.
-control_signal signal_name Specifies the name of the test mode control signal. This
signal must be defined as either a TestMode or
ScanEnable signal (with the set_dft_signal
command).
-test_data signal_name Specifies the name of the externally controllable test data
signal. This signal must be defined as a TestData signal
(with the set_dft_signal command).
-include_elements object_list Specifies the set of design objects that are considered for
fixing violations. By default, all objects are considered.
-exclude_elements object_list Specifies the set of design objects that are not considered
for fixing violations. By default, all objects are considered.
Table 4-13 shows a sample script that performs AutoFix. Both the
XG mode and DB mode (Unified Test DRC flow) versions are
provided for comparison. In the XG mode script, the commands that
differ from DB mode are shown in bold.
Using AutoFix
4-33
Table 4-13 AutoFix
XG mode DB mode
# Enable AutoFix
set_dft_configuration \ set_dft_configuration -autofix
-fix_clock enable \
-fix_reset enable \
-fix_set enable
Reporting
In XG mode, all DFT specification commands have corresponding
reporting commands. To report what exists in the design, use the
-view existing_dft option on the reporting command. To report
what you have specified for insertion, use the -view spec option
(this is the default).
Example 4-2 through Example 4-6 show sample reports from many
of the XG mode reporting commands.
****************************************
Report : DFT configuration
Design : test
Version: 2003.12-DFT-POWER-BETA1
Date : Fri Aug 22 16:10:05 2003
****************************************
========================================
TEST MODE: Internal_scan
VIEW : Specification
========================================
Chain count: Undefined
Scan Style: Multiplexed flip-flop
Maximum scan chain length: Undefined
Preserve multibit segments: True
Clock mixing: Not defined
Internal clocks: False
Add lockup: True
Insert terminal lockup: False
Create dedicated scan out ports: False
Shared scan in: 0
Bidirectional mode: No bidirectional type
Reporting
4-35
Example 4-4 A DFT Signal Report
dc_shell-xg-t> report_dft_signal -view existing_dft
****************************************
Report : DFT signals
Design : SYNCH
Version: 2003.12-DFT-POWER-BETA1
Date : Fri Aug 22 15:48:51 2003
****************************************
========================================
TEST MODE: Internal_scan
VIEW : Existing DFT
========================================
Port SignalType Active Hookup Timing
---------- ---------- ------ ------ ------
hrst_L Reset 0 - P 100.0 R 55.0 F 45.0
mrxc ScanMasterClock 1 - P 100.0 R 45.0 F 55.0
mrxc MasterClock 1 - P 100.0 R 45.0 F 55.0
clk3 ScanMasterClock 1 - P 100.0 R 45.0 F 55.0
clk3 MasterClock 1 - P 100.0 R 45.0 F 55.0
clk2 ScanMasterClock 1 - P 100.0 R 45.0 F 55.0
clk2 MasterClock 1 - P 100.0 R 45.0 F 55.0
========================================
TEST MODE: Internal_scan
VIEW : Specification
========================================
Port SignalType Active Hookup Timing
---------- ---------- ------ ------ ------
SI1 ScanDataIn - - Delay 5.0
****************************************
Report : Scan path
Design : SYNCH
Version: 2003.12-DFT-POWER-BETA1
Date : Fri Aug 22 15:50:07 2003
****************************************
========================================
TEST MODE: Internal_scan
VIEW : Specification
========================================
Scan_path ScanDataIn (h) ScanDataOut (h) ScanEnable (h)
--------------- --------------- --------------- ---------------
chain1 - - -
1
Reporting
4-37
Using BSD Compiler
In XG mode, BSD Compiler supports both boundary-scan insertion
and compliance checking for IEEE Standards 1149.1-1993 and
1149.1-2001. It also supports BSDL file and test pattern generation.
Like the DFT Compiler user interface, the BSD Compiler user
interface has been simplified in XG mode.
Note:
The db2xg script does not convert BSD Compiler commands.
• BIST preparation
• BIST integration
In XG mode, you can perform these steps with a single insert_dft
command. In DB mode, these are two separate steps. Other than
this flow enhancement, the DBIST synthesis process is the same in
both XG and DB mode; however some of the commands and options
are different.
Note:
The db2xg script does not convert DBIST commands.
Common commands
• Physical Libraries
• Supported Physical Design Flows
• Differences in Behavior
• Features Available Only in XG Mode
• Unsupported Capabilities
5-1
Note:
The information provided in Chapter 1, “Introduction to XG
Mode,” and Chapter 2, “XG Mode Design Database Formats,”
applies to Physical Compiler as well. For detailed information
about running Physical Compiler, see the Physical Compiler
documentation.
Physical Libraries
By default, Physical Compiler uses the Milkyway reference library as
the physical library in XG mode. This is the same physical library that
is used by the Jupiter and Astro tools. To specify the Milkyway
reference library, set the mw_reference_library variable.
If you do not have a Milkyway reference library, you can use the
Milkyway tool to generate one from your LEF library files or your .pdb
library files. After generating the Milkyway reference library, you must
generate a technology file (for use with the create_mw_design
command). Physical Compiler also provides a way to revert to using
.pdb format physical libraries. The following sections describe these
tasks.
1. Choose Cell Library > LEF In from the Milkyway menu bar.
If the same LEF file is used for both technology and cell
information, enter the file name in both the Tech LEF Files and
Cell LEF Files fields.
Physical Libraries
5-3
For more information about this process, including debugging
information and information about the other fields in the Read LEF
dialog box, see the Milkyway documentation.
1. Choose Cell Library > Import PLIB from the Milkyway menu bar.
The PLIB/PDB In dialog box appears.
1. Choose Library > Dump Tech File from the Milkyway menu bar.
Physical Libraries
5-5
The Dump Technology File dialog box appears.
2. Enter the technology file name (Technology File Name) and the
Milkyway reference library name (Library Name).
Alternatively, you can click Browse to use the browse capability
to select the reference library.
The script in Example 5-1 shows the basic commands for using this
flow in XG mode. For more information about the Synopsys tool flow,
see “Interacting With Other Synopsys Tools” in the Physical
Compiler User Guide, Volume 1.
# Read floorplan
read_milkyway mydesign
# Set constraints
source myconstraints.sdc
The script in Example 5-2 shows the basic commands for using the
third-party flow in XG mode. For more information about the
third-party flow, see “Interfacing with Third-Party Tools” in the
Physical Compiler User Guide, Volume 1.
# Read netlist
read_file -format fmt mydesign.fmt
link
link_physical
# Read floorplan
read_[p]def mydesign.[p]def
# Set constraints
source myconstraints.sdc
Differences in Behavior
5-11
Using Interface Logic Models
Interface logic model (ILM) behavior differs between XG mode and
DB mode in the following ways:
• The interface logic models are stored in the ILM view of the
Milkyway design library rather than in a .db file.
• When you save a design that contains ILMs, the ILMs are not
saved. You must explicitly save each ILM.
• You do not read ILMs directly in XG mode; instead you specify
the ILMs in the link_library variable and then Physical
Compiler automatically loads them when you read the top-level
design (in Milkyway format).
In addition, the following ILM capabilities are available only in XG
mode:
Note:
If you read your design in .db format, distributed physical
synthesis uses the .db format. For the best results, start with a
Milkyway design, rather than a .db design. For information about
converting your .db design to Milkyway format, see “Converting
From .db Format to Milkyway Format” on page 2-23
GUI Enhancements
In XG mode, the Physical Compiler GUI provides three modes for
displaying flylines:
• Macro to macro
This mode displays the flylines between two macro cells.
• Pin to macro
This mode displays the flylines between a core cell and a macro
cell.
Differences in Behavior
5-13
Features Available Only in XG Mode
The following features are available only in XG mode:
read_def -allow_physical_objects
-lef_file_name
write_def -regions_groups
-macro
-fixed_cell-
-placed_cell
-blockages
-routed_net
-diode_pins
-notch_gap
-floating_metal_fill
-pg_metal_fill
-lef_file_name
read_pdef -allow_physical_objects
For more information about using DEF and PDEF files, see Chapter
4, “Preparing Data for Physical Compiler,” in the Physical Compiler
User Guide, Volume 1.
For details about using relative placement, see Chapter 12, “Physical
Datapath with Relative Placement,” in the Physical Compiler User
Guide, Volume 1.
Unsupported Capabilities
The following commands are not supported in XG mode:
- compile_physical
- reoptimize_design
- read_mdb
Use the read_milkyway command to read the Milkyway
design library. For information about reading Milkyway design
libraries created with the write_mdb command, see
“Limitations When Reading Milkyway Format” on page 2-17.
Unsupported Capabilities
5-17
Chapter 5: Using Physical Compiler in XG Mode
5-18
6
Using Power Compiler in XG Mode 6
This chapter describes the differences between running Power
Compiler in XG mode and DB mode.
• Benefits of XG Mode
• Differences in Command Behavior
• Features Available Only in XG Mode
6-1
Benefits of XG Mode
XG mode provides the following benefits for Power Compiler:
Reg Reg
Bank Bank
a a stage1
stage1
CG CG
EN
Reg Reg
Bank Bank
b b stage1
stage1
CG CG
CLK EN stage 2
CLK CG
Reg Reg
Bank Bank
c c stage1
stage1 CG
CG
TOP Sub-
Sub-block 1
Register
Bank
Register
Bank
Shared Register
CLK
Bank
EN
EN
ICG
GATED
CLK
CLK Sub-
Sub-block 2
Register
Bank
Register
Bank
Register
Bank
For more information about power gating, see the Power Compiler
User Guide.
A-1
Table A-1 Commands Not Supported in XG Mode
Command Note
all_cluster_cells
all_clusters
characterize_physical
compile_clock_tree
compile_physical
create_cluster
create_routing_path
create_schematic
create_test_schedule
create_wire_load
disconnect_scan_chains
estimate_physical
estimate_test_coverage
extract
get_clock_tree_attributes
get_clock_tree_delays
get_clock_tree_objects
get_congested_regions
get_design_parameter
get_regions
highlight_path
library_analysis
optimize_bsd
parent_cluster
plot
read_bsd_init_protocol
read_bsd_protocol
read_clusters
read_init_protocol
read_mdb
A-3
Table A-1 Commands Not Supported in XG Mode (Continued)
Command Note
read_trc_file
remove_analysis_info
remove_bsd_port
remove_bsd_signal
remove_bsd_specification
remove_bsr_cell_type
remove_clock_tree
remove_clock_tree_balance_group
remove_clock_tree_exceptions
remove_clock_tree_options
remove_clock_tree_root_delay
remove_clusters
remove_core_integration_configuration
remove_core_wrapper_configuration
remove_core_wrapper_specification
remove_delay_calculation
remove_highlighting
remove_port_configuration
remove_wrapper_element
reoptimize_design
replace_fpga
report_clock_tree
report_clusters
report_floorplan_macro_array
report_floorplan_macro_options
report_floorplan_options
report_floorplan_pnet_options
report_floorplan_port_options
report_packages
report_ph_region
report_routability
report_routing_options
report_test
report_xref
reset_clock_tree_references
rtl_analyzer
rtldrc
set_autofix_async
set_autofix_clock
set_bist_configuration
A-5
Table A-1 Commands Not Supported in XG Mode (Continued)
Command Note
set_bsd_bsr_element
set_bsd_control_cell
set_bsd_data_cell
set_bsd_intest
set_bsd_pad_design
set_bsd_path
set_bsd_port
set_bsd_register
set_bsd_runbist
set_bsd_signal
set_bsd_tap_element
set_bsr_cell_type
set_clock_tree_balance_group
set_clock_tree_exceptions
set_clock_tree_options
set_clock_tree_references
set_clock_tree_root_delay
set_core_integration_configuration
set_core_wrapper_cell
set_core_wrapper_cell_design
set_core_wrapper_configuration
set_core_wrapper_path
set_dft_optimization_configuration
set_floorplan_macro_array
set_floorplan_macro_options
set_floorplan_options
set_floorplan_pnet_options
set_floorplan_port_options
set_inverted_placement_keepout
set_layer
set_min_porosity
set_pipeline_stages
set_port_configuration
set_rail_voltage
set_routing_options
set_scan_exclude
set_scan_segment
set_scan_signal
set_scan_transparent
set_signal_type
set_tap_elements
set_test_hold
A-7
Table A-1 Commands Not Supported in XG Mode (Continued)
Command Note
set_test_initial
set_test_isolate
set_test_model
set_test_signal
set_testability_element
set_trc_configuration
set_wired_logic_disable
set_wrapper_element
split_clock_gates
trace_nets
untrace_nets
update_clusters
update_script
write_bsd_protocol
write_clusters
write_ibm_constraints
write_layout_scan
write_mdb
add_to_rp_group
all_dont_touch
all_preroute_checks
all_rp_groups
all_rp_hierarchicals
all_rp_inclusions
all_rp_instantiations
all_rp_references
begin_group_undo
can_redo
can_undo
change_site_name
check_target_library_subset
create_rp_group
define_lib_cell_class
define_user_attribute
disable_undo
enable_undo
end_group_undo
extract_rp_group
get_lib_cell_class
A-9
Table A-2 Commands Supported in XG Mode Only (Continued)
Command Note
get_power_domains
get_rp_groups
get_scan_chains_by_name
get_voltage_areas
gui_update_physical_model
hookup_power_gating_ports
initialize_mpc
invalidate_undo
last_redo_cmd_name
last_undo_cmd_name
order_rp_groups
read_milkyway
redo
remove_boundary_cell
remove_dft_equivalent_signals
remove_fanout_load
remove_from_rp_group
remove_lib_cell_class
remove_row_type
remove_rp_group
remove_rp_group_options
remove_scan_link
remove_scan_path
remove_scan_replacement
remove_target_library_subset
remove_test_assume
remove_test_point_element
remove_user_attribute
report_autofix_configuration
report_autofix_element
report_boundary_cell
report_dft
report_dft_clock_controller
report_dft_configuration
report_dft_design
report_dft_equivalent_signals
report_dft_signal
report_dw_rp_group_options
report_lib_cell_class
report_logicbist_configuration
report_scan_configuration
report_scan_link
A-11
Table A-2 Commands Supported in XG Mode Only (Continued)
Command Note
report_scan_path
report_scan_register_type
report_scan_replacement
report_scan_state
report_target_library_subset
report_test_assume
report_test_point_element
report_testability_configuration
report_use_test_model
report_wrapper_configuration
reset_autofix_configuration
reset_autofix_element
reset_bsd_configuration
reset_dft_clock_controller
reset_dft_configuration
reset_logicbist_configuration
reset_mbist_configuration
reset_mbist_controller
reset_mbist_wrapper
reset_scan_configuration
reset_test_mode
reset_testability_configuration
reset_testbench_parameters
reset_wrapper_configuration
reshape_objects
rp_group_inclusions
rp_group_instantiations
rp_group_references
set_boundary_cell
set_cell_type
set_dft_equivalent_signals
set_dw_rp_group_options
set_inverted_placement_keepouts
set_logicbist_configuration
set_mbist_wrapper
set_power_gating_signal
set_rp_group_options
set_scan_style
set_target_library_subset
set_test_dont_fault
set_testbench_parameters
set_user_attribute
A-13
Table A-2 Commands Supported in XG Mode Only (Continued)
Command Note
set_wrapper_configuration
undo
update_region
update_voltage_area
use_test_model
write_dps
write_dw_rp_group
write_link_library
write_milkyway
write_rp_group
write_scan_def
change_link -all_instances
check_bsd -infer_instructions
check_budget -no_environment
check_design -multiple_designs
check_dft -overwrite_model
check_scan -overwrite_model
check_test -overwrite_model
compile -arch
-background
-host
-xterm
create_bsd_patterns -stil
create_operating_conditions -parameter1
-parameter2
-parameter3
-parameter4
-parameter5
create_test_clock -hookup
derive_regions -guard_band_x
-guard_band_y
derive_voltage_areas -guard_band_x
-guard_band_y
A-15
Table A-3 Modified Commands (Continued)
Command Options in DB mode only Options in XG mode only
dft_drc -infer_scan_structures
extract_ilm -ilm_core
-optimizable
filter -dont_check_real_objects
get_clocks -exact
-hierarchical
get_location -rp_group
get_multibits -exact
-hierarchical
get_path_groups -exact
get_pins -leaf
get_placement_keepouts -of_objects
-within
get_scan_cells_of_chain -test_mode
get_scan_chains -test_mode
get_timing_paths -path_type
get_wiring_keepouts -of_objects
-within
insert_dft -arch
-background
-dont_fix_constraint_violation
s
-host
-ignore_compile_design_rule
s
-map_effort
-no_scan
-xterm
list_test_modes -existing
-spec
optimize_placement -fix_drc
-ignore_all_groups
-worst_in_group
propagate_constraints -format
propagate_ilm -parasitics
read_file -ilm
-rtl
A-17
Table A-3 Modified Commands (Continued)
Command Options in DB mode only Options in XG mode only
read_saif -target_instance
remove_clock_latency -clock
remove_dft_signal -hookup_pin
-port
-test_mode
-view
remove_port -all
remove_scan_specification -all
-bidirectionals
-chain
-link
-segment
-signal
-test_mode
-tristates
remove_test_mode -existing
-spec
-view
remove_test_protocol -design
-test_mode
remove_wire_load_model -cluster
-cluster
remove_wire_load_selection_group
report_annotated_delay -min
report_congestion -coordinate
report_constraint -max_net_length
report_peak_noise -explore_isolation
report_port -only_physical
report_saif -annotated_flag
report_timing -locations
A-19
Table A-3 Modified Commands (Continued)
Command Options in DB mode only Options in XG mode only
set_bsd_compliance -name
-pattern
set_bsd_power_up_reset -cell_name
set_dft_drc_configuration -internal_pins
set_dft_insertion_configuration -map_effort
-preserve_design_name
-route_scan_clock
-route_scan_enable
-route_scan_serial
-synthesis_optimization
-unscan
set_dft_optimization_configuration -def_out
set_disable_timing -reset_loop_breaking_arcs
set_dps_module_options -no_opt
set_driving_cell -none
set_mbist_configuration -exclude_elements
-include_elements
set_mpc_options -dont_promote_layer
set_port_fanout_number -max
-min
A-21
Table A-3 Modified Commands (Continued)
Command Options in DB mode only Options in XG mode only
set_scan_element -multibit
set_scan_group -serial_routed
set_scan_link -test_mode
set_scan_replacement -remove
set_wire_load_model -cluster
set_wire_load_selection_group -cluster
update_lib -force
write -scenarios
-xg_force_db
write_file -scenarios
-xg_force_db
A-23
Table A-3 Modified Commands (Continued)
Command Options in DB mode only Options in XG mode only
write_lib -mw_oc_type
-mw_ref_lib
write_test_model -design
write_test_protocol -design
A report_power_gating 6-8
set_attribute 1-15, 1-16
attributes
set_clock_gating_style 6-4
listing 1-15, 1-16
set_mw_design 2-10, 2-13
Automated Chip Synthesis, using .ddc format
set_power_gating_signal 6-7
3-5
shell_is_in_xg_mode 1-24
unsupported in dcxg mode A-1
B write -format ddc 2-4
write_milkyway 2-10
Behavioral Compiler 1-3
write_saif 6-7
creating from LEF 5-2
C creating from .pdb 5-4
checkpointing 5-10
default file name 5-11 D
enabling 5-10
specifying the file name 5-11 datapath, physical, defined 5-16
clock gating .db format, defined 2-24
hierarchical 6-5 DB mode
multistage 6-4 defined 1-1
clock-gating attributes, resetting 6-6 dcsh, converting to dctcl 1-4
commands dc-transcript
current_design 1-11 converting from dcsh to dctcl 1-4
hookup_power_gating_ports 6-8 limitations 1-4
identify_clock_gating 6-6 dcxg mode
insert_clock_gating 6-4, 6-5 unsupported commands A-1
propagate_switching_activity 6-6 .ddc format
read_ddc 2-5 in Automated Chip Synthesis 3-5
read_saif 6-6 reading 2-5
IN-1
writing 2-4 creating 2-8
DFT Compiler, unsupported features 1-3 defined 2-6
deleting cells 2-22
limitation 1-8
F listing cells 2-20
Floorplan Manager 1-3 opening 2-19
purging cell versions 2-21
reading 2-14
H specifying 2-6
hierarchical clock gating specifying location of 1-8
defined 6-5 writing to an existing library 2-10
enabling 6-5 Milkyway Environment tasks
hierarchy, ungrouping 1-12 design library
deleting cells 2-22
hookup_power_gating_ports command 6-8
listing cells 2-20
opening 2-19
I purging cell versions 2-21
reference library 5-2, 5-4
identify_clock_gating command 6-6
Milkyway Environment tool
ILM view 5-12 defined 2-18
insert_clock_gating command 6-4 invoking 2-19
-global option 6-5 Milkyway format
interface logic models limitations
reading 5-12 reading 2-17
writing 2-12
saving design data 2-9
L Milkyway lock file 2-14
licensing requirements 1-4 Milkyway reference library
limitations specifying location of 1-8
clock tree synthesis 5-17 Milkyway refernce library
current_design runtime 1-11 generating from LEF 5-2
interface logic models, saving 2-12 generating from .pdb 5-4
Milkyway design library 1-8 mode, determining 1-24
reading 2-17
modes, supported 1-1
writing 2-12
multistage clock gating
SDC, reading into Astro 2-12
defined 6-4
set_attribute type enforcement 1-15, 1-16
enabling 6-4
mw_design_library variable 1-8
M mw_logic0_net variable 1-8
Milkyway design library mw_logic1_net variable 1-8
accessing an existing library 2-13 mw_reference_library variable 5-2
IN-2
mw_site_name_mapping variable 2-15, 5-17 S
scan extraction 4-26
O scan reordering 4-26
OPT-100 error 3-2 scan routing, specifying 4-21
SDBIST
<i>See streaming DBIST
P set_clock_gating_style command 6-4
Physical Compiler, flows supported in XG set_mw_design command 2-10, 2-13
mode 5-7 set_power_gating_signal command 6-7
physical datapath, defined 5-16 shell mode, determining 1-24
physical library shell_is_in_xg_mode command 1-24
default 5-2 SIFF Interface 1-3
selecting format 5-6 streaming DBIST
specifying 5-2, 5-6 defined 4-42
physical_library variable 5-6
physopt_mw_checkpoint_filename variable
5-11 T
Power Compiler tool 2-19
XG mode benefits 6-2
XG-only features 6-3
hierarchical clock gating 6-5 U
multistage clock gating 6-4 ungrouping hierarchical instances 1-12
resetting clock-gating attributes 6-6 use_pdb_lib_format variable 5-6
stitching of power-gating signals 6-7
power-gating signals
identifying 6-7 V
reporting 6-8 variables
stitching 6-8 mw_design_library 1-8
propagate_switching_activity command 6-6 mw_logic0_net 1-8
mw_logic1_net 1-8
mw_reference_library 5-2
R mw_site_name_mapping 2-15, 5-17
read_ddc command 2-5 physical_library 5-6
read_saif command 6-6 physopt_mw_checkpoint_filename 5-11
references, handling differences 1-17 use_pdb_lib_format 5-6
remove_annotated_delay command, XG mode
limitation 1-17
report_power_gating command 6-8 W
write -format ddc command 2-4
write_milkyway command 2-10
IN-3
write_saif command 6-7 supported platforms 1-4
supported products 1-3
unsupported features 3-6
X unsupported products 1-3
XG mode X-tolerant DBIST 4-42
defined 1-1 defined 4-42
IN-4