News

18

Sep 24

Kudos to Christian Wittke (IHP) and Thorsten Knoll (HSRM) on their development of a Digital EDA Course using IHP-SG13G2 and OpenROAD!

See the video of Christian's ORConf 2024 talk at https://www.youtube.com/watch?v=Ozd_yXoExLo !
Read More

3

Sep 24

SNUCell1.0 is open-sourced in The OpenROAD Project!

We are very happy to announce that source code of the automatic cell layout generator SNUCell1.0 — based on ASAP7...
Read More

16

Aug 24

OpenROAD for design-silicon MPW shuttles, the open EDA way!

"IHP-Open-Design-Lab is a newly launched program from Germany using open-source tools like OpenROAD for design-silicon MPW shuttles. Another great way...
Read More

11

Jul 24

The call for papers for WOSET-2024 is now out.

The call for papers for WOSET-2024 is now out. WOSET was founded by OpenROAD project members in 2018, and we're...
Read More

10

Jul 24

Dr. Mehdi Saligane will be a new assistant professor in the engineering school at Brown University.

Dr. Mehdi Saligane will be a new assistant professor in the engineering school at Brown University, where he will be...
Read More

6

Jul 24

“Anybody can design a chip”

"Anybody can design a chip": A new paper by IHP in Europe that describes an open-source based design ecosystem. https://buff.ly/4f3kbIj
Read More

29

Jun 24

Kudos to Prof. Chris Torng of USC and team for now supporting OpenROAD in the mflowgen agile flow tool!

Refer to Prof. Kahng’s keynote from FSiC-2024 here:, "OpenROAD and the OpenROAD Initiative: Foundations for Open Innovation"
Read More

24

Jun 24

We are glad to host  Birds-of-a-Feather session fostering open-source chip design and ecosystems at DAC 2024.

Watch this space for details!  https://open-source-eda-birds-of-a-feather.github.io/
Read More

23

Jun 24

Our youngest developer, Shreyas Thumathy, just finalized his second conference publication.

A. B. Kahng, S. Kundu and S. Thumathy, "Scalable Flip-Flop Clustering Using Divide and Conquer For Capacitated K-Means", to appear...
Read More

1

Jun 24

OpenROAD enables  competitive performance for a Linux-capable RISC-V SoC.

Learn more about Basilisk and Iguana tapeouts on the open-PDK IHP : https://arxiv.org/pdf/2405.03523
Read More
1 2 3 10

Want to know more about our Community?